FPGAを用いた回路の波形観測について(FT2232HのCLKOUT)
(簡易なロジアナ接続にもご注意)

2009/10/22-

 FPGAを搭載した回路で、波形を確認しながらデバッグすることが多いと思います。
たとえば、下記はFT2232HのSync-FIFOモード時のクロック(60MHz)ですが、FPGAのINPUT側を観測するとご覧の通りですが、FPGAはどう感じているのでしょうか。
 FPGAが入力した信号をFPGAの別のピンから出力すると良くわかります。

クリックして拡大

上:FPGAへの入力ピン
下:FPGAからの出力
ご覧のように、わかりやすいです。
(実験がバラックで配線長も長いため、入力波形もひずんでいます)

FPGA内部回路
 どうでしょうか、入力側を観測しても判らないことが、いったんFPGAから入力して出力することで、実際にどう感じているかが判るわけです。
ところでこの実験にはもう一つオチがあります。実は、入力には簡易なUSBロジアナが接続されていたのです。
下記にUSBロジアナを外した波形をお示しします。

クリックして拡大

上:FPGAへの入力ピン
下:FPGAからの出力
 ごらんのようにロジックアナライザのプローブを取り外すと、DUTYが変化しました。ロジックアナライザの入力回路が何らかの影響を受けていたということになります。(オシロスコープのほうはアクティブプローブを使用)
ロジックアナライザ用にFPGAの出力ピンを割り付けておいて、FPGA内部回路で接続すれば、信号を乱すことも無く、つなぎ替えも必要ないので便利です。
FPGA開発ツールの波形観測機能もおすすめだと思います。
(下記のタイミングはでたらめです)

クリックして拡大

こちらは、FPGA内部で、入力(60MHz)から生成した240MHzクロックでサンプリングしたものです。
USBロジアナを接続して観測時には違ったデータになっています。
以上皆さまのご参考になれば幸いです。
メールでのご質問はこちらへどうぞ

TOPページへ